Image default
ActualidadLanzamientosProcesadoresReviews

Review Intel Core Ultra 5 245K (Arrow Lake)

La apuesta de Intel con «Arrow Lake» es ofrecer aumentos notables de IPC sin sacrificar el consumo energético. Con esto en mente, nos presenta al modelo orientado para todos aquellos que no requieren una gran cantidad de núcleos, pero necesitan rendimiento eficiente.

El objetivo de Intel con Arrow Lake no es solo presentar nueva tecnología en todos los ámbitos (los núcleos de CPU, NPU y E/S), sino también abordar el aspecto más débil de las generaciones de procesadores anteriores de Intel que se remontan al core Comet Lake de décima generación: el consumo de energía.

El lanzamiento de hoy de la serie Core Ultra 2 sigue una estrategia de implementación de generación de procesadores similar a la de las generaciones anteriores, con el tercer trimestre de 2024 viendo lanzamientos y disponibilidad de los SKU-K o KF desbloqueados dirigidos a entusiastas de PC y jugadores, junto con el chipset Z890 superior que admite overclocking de procesadores, con una rampa esperada para 2025 del resto de la serie, con SKU más asequibles y otros chipsets de la serie 800.

La filosofía de diseño de Arrow Lake es, por lo tanto, restablecer a Intel como líder en procesadores eficientes para computadoras de escritorio. Este objetivo se lograría incluso si las ganancias de rendimiento o IPC generacionales fueran modestas, siempre y cuando haya una caída significativa en el consumo de energía, porque entonces se abren otras posibilidades para los usuarios, como el overclocking, algo en lo que los procesadores Intel tienden a ser naturalmente buenos.

Arquitectura Arrow Lake

Intel desarrolló la microarquitectura del procesador Arrow Lake para abordar dos de sus segmentos de mercado de procesadores para clientes más importantes, los de escritorio y los portátiles convencionales. Para los portátiles ultraportátiles sin gráficos discretos, la empresa desarrolló un nuevo silicio desde cero bajo la microarquitectura Lunar Lake. Lunar Lake comparte muchos bloques de propiedad intelectual con Arrow Lake, especialmente los núcleos de CPU, pero existen varias diferencias.

Intel utiliza la designación de formato «S» para referirse a los ordenadores de escritorio convencionales y, por lo tanto, el silicio «Arrow Lake-S» alimenta los cinco SKU de procesadores que se lanzan hoy.

Con «Arrow Lake», Intel mantiene el diseño de procesador basado en mosaicos desagregados que inició con «Meteor Lake» en el segmento de clientes. La idea que lo rige es que no es necesario construir un gran chip monolítico basado en el último nodo de fundición, sino más bien identificar bloques de IP específicos que se benefician más del nodo, como los núcleos de CPU y la iGPU, y construir solo esos componentes en los nodos más recientes, como mosaicos de expansión. El resto del procesador que se ocupa principalmente de las interfaces de E/S de la plataforma, se puede construir en un mosaico con un nodo de fundición ligeramente más antiguo, lo que permite a Intel maximizar sus rendimientos en el último nodo de fundición, ya que los mosaicos que construye en estos nodos son más pequeños.

Hay cinco tipos de «mosaicos» que componen «Arrow Lake». Comienza con el mosaico base Foveros. Se trata de un intercalador de silicio que se asienta sobre los sustratos del paquete de fibra de vidrio y asienta los otros mosaicos encima. Un intercalador facilita el cableado microscópico de alta densidad entre mosaicos o chiplets apilados sobre él, lo que de otro modo no sería posible en el sustrato de fibra de vidrio. Esta es la diferencia clave entre el procesador basado en mosaicos de Intel y los basados ​​en chiplets de AMD, que dependen del sustrato del paquete para conectar los chips complejos de CPU (CCD) al chip de E/S (cIOD). Las ventajas de este intercalador incluyen una mayor proximidad física de los mosaicos, lo que beneficia la latencia, y una menor potencia necesaria para mover datos entre los mosaicos.

El primer mosaico, y posiblemente el más importante, es el «mosaico» de Cómputo. Está construido sobre el proceso TSMC N3B de 3 nm. Contiene los núcleos de la CPU. El complejo de núcleos consta de ocho núcleos de rendimiento «Lion Cove» (núcleos P) y dieciséis núcleos de eficiencia «Skymont» (núcleos E). Los núcleos E están dispuestos en cuatro clústeres de 4 núcleos cada uno.

Los ocho núcleos P y los cuatro clústeres de núcleos E están dispuestos a lo largo de una interconexión de bus de anillo y comparten una caché L3 de último nivel de 36 MB. Un ligero cambio con respecto a «Alder Lake» y «Raptor Lake» que se observa en el mosaico Compute de «Arrow Lake» es la disposición física de los núcleos P y los clústeres de núcleos E. En «Raptor Lake», los ocho núcleos P están ubicados en un extremo del complejo de cómputo y los dos o cuatro clústeres de núcleos E en el otro extremo.

Con «Arrow Lake», están dispuestos de manera alternada como se muestra en la diapositiva anterior. Intel afirma que esto tiene como objetivo reducir la concentración de calor generada por los núcleos P en escenarios de procesamiento intensivo, como los juegos. También coloca cada clúster de núcleos E a solo una parada de anillo de un núcleo P, lo que debería reducir las latencias de los subprocesos que migran entre los núcleos P y los núcleos E.

El siguiente componente más importante es el SoC. Ocupa una región casi central del chip y está construido sobre el nodo TSMC N6 de 6 nm. No hay núcleos E de isla de bajo consumo en este componente, a diferencia de «Meteor Lake». El único componente lógico pesado es la NPU 3. Este componente contiene el controlador de memoria DDR5 de canal dual, la memoria PHY DDR5 y el complejo raíz PCI-Express del procesador.

Sin contar el bus del chipset DMI 4.0 x8, el procesador ofrece 20 líneas PCIe Gen 5. 16 de ellas están dedicadas a la interfaz PEG (para gráficos discretos) y cuatro a una ranura M.2 NVMe dedicada a la CPU. En realidad, hay dos ranuras M.2 conectadas a la CPU. Además de la Gen 5 x4, hay una segunda conexión Gen 4 x4 desde el procesador. Esta proviene del módulo de E/S de expansión, que también contiene un controlador Thunderbolt 4 de 40 Gbps integrado. El módulo SoC también contiene tres de los componentes aliados de la iGPU, el motor de visualización, el motor de aceleración de medios y la E/S de visualización.

El controlador de memoria DDR5 de doble canal de «Arrow Lake-S» admite hasta 192 GB de memoria de doble canal, con una densidad de hasta 48 GB por DIMM. Viene con soporte nativo para JEDEC DDR5-6400, e Intel dice que DDR5-8000 es el «punto óptimo» de velocidad de memoria para overclocking. Los procesadores también admiten velocidades de memoria overclockeadas mucho más allá de esto. Ya hay anuncios de DDR5-9600, y a lo largo de 2025 deberíamos ver velocidades de memoria muy superiores a 10000 MT/s, utilizando módulos de memoria que utilicen chips CKD (CUDIMM o CSODIMM). La arquitectura admite ECC, aunque no en el chipset Z890 ni en los modelos de procesadores que se anuncian hoy.

El módulo SoC integra una unidad NPU 3, que parece haber sido trasladada de «Meteor Lake». Se basa en la arquitectura NPU de tercera generación de Intel, en comparación con la NPU de cuarta generación de «Lunar Lake». La NPU 3 tiene un rendimiento máximo de 13 TOPS de IA, lo que significa que no alcanza el requisito de 40 TOPS para la aceleración local de Microsoft Copilot+.

La unidad contiene dos NCE (motores de cómputo neuronal), con dos matrices MAC INT8/FP16, cuatro DSP SHAVE y una RAM de 4 MB.

El tercer mosaico clave de «Arrow Lake» es el mosaico de gráficos, construido sobre la arquitectura gráfica Xe-LPG, que, curiosamente, es una generación más antigua que la arquitectura Xe2 que alimenta la iGPU de «Lunar Lake». El mosaico de gráficos está construido sobre el nodo TSMC N5P de 5 nm. El mosaico de gráficos solo contiene la maquinaria de procesamiento numérico y renderizado de gráficos de la iGPU, en forma de una única Xe Rendering Slice con cuatro núcleos Xe, que valen 64 unidades de ejecución (EU) o 512 shaders unificados.

Los núcleos Xe del mosaico de gráficos de Arrow Lake carecen de unidades XMX. Cualquier aceleración de IA es en forma de DP4a, y no XMX. El móvil para entusiastas «Arrow Lake-HX» usa el mismo mosaico de gráficos. Por otro lado, el «Arrow Lake-H» viene con un mosaico de gráficos más grande con ocho núcleos Xe (128 EU, 1.024 shaders unificados), y los núcleos Xe allí cuentan con unidades XMX. A pesar de tener solo 4 núcleos Xe, los módulos gráficos de «Arrow Lake-S» y «Arrow Lake-HX» cuentan con unidades de trazado de rayos, una por núcleo Xe, que les otorgan plena capacidad para DirectX 12 Ultimate. Intel también le ha dado a la iGPU una caché L2 bastante grande de 4 MB, que amortigua las transferencias entre ella y el módulo SoC.

El motor multimedia de «Arrow Lake-S» ofrece aceleración de hardware para vídeo de hasta 8K a 60 Hz con HDR de 10 bits, con formatos compatibles que incluyen VP9, ​​AVC, HEVC, AV1 y SSC. La codificación acelerada por hardware es compatible con resoluciones de hasta 8K a 120 Hz con HDR de 10 bits, con formatos compatibles que incluyen VP9, ​​AVC, HEVC y AV1. El motor de visualización admite hasta cuatro canales de visualización con cuatro canales de bajo consumo y admite hasta cinco puertos de visualización. Los estándares compatibles incluyen HDMI 2.1, DisplayPort 2.1 y eDP 1.4. Las resoluciones compatibles incluyen 8K a 60 Hz HDR, o cuatro 4K a 60 Hz HDR, o 1080p a 360 Hz, o 1440p a 360 Hz.

Con «Arrow Lake», Intel presenta la tercera generación de Thread Director, su programador basado en hardware que garantiza que el tipo correcto de carga de trabajo de software sea manejado por el tipo correcto de núcleo de CPU. Esta nueva versión viene con un mecanismo de retroalimentación de rendimiento de núcleo E basado en hardware más preciso, que le indica el tipo de recursos de núcleo E disponibles. Intel también presentó una nueva telemetría de rendimiento de núcleo P para una dirección más precisa de los subprocesos hacia los núcleos P. Intel también le dio a Thread Director su modelo de predicción más preciso.

Dado que los núcleos «Skymont» tienen un IPC significativamente más alto, Thread Director en «Arrow Lake-S» prioriza todas las cargas de trabajo de productividad no relacionadas con juegos en los núcleos E y actualiza los subprocesos en los núcleos P solo cuando es necesario. Thread Director desempeña un papel importante en la mejora de la eficiencia energética general del procesador.

Socket LGA 1851 y nuevo Chipset

Con la serie Core Ultra 2 «Arrow Lake-S», Intel presenta un nuevo socket, LGA1851. Por lo tanto, necesitará una nueva placa base para su nuevo y brillante procesador, y dado que todos los modelos de procesadores que Intel lanzará este año son SKU K o KF desbloqueados, el único modelo de chipset de placa base disponible será el Intel Z890 de alta especificación. Intel desarrollará su pila de modelos de procesadores en 2025 y, con ella, lanzará chipsets de placa base más asequibles.

El SoC «Arrow Lake-S» ofrece una interfaz de memoria DDR5 de doble canal, que describimos en las páginas anteriores. También cuenta con 48 carriles PCIe de plataforma (CPU + chipset). Intel ha aumentado la cantidad de carriles PCIe Gen 5 que ofrece la CPU a 20, es decir, 16 carriles destinados al PEG (ranura x16 para tarjetas gráficas) y una ranura M.2 NVMe adjunta a la CPU que funciona a velocidades Gen 5 sin consumir los 16 carriles PEG. La CPU incluye un segundo conjunto de puertos Gen 4 x4, que se pueden conectar como una ranura M.2 o usarse para controlar dispositivos integrados de gran ancho de banda, como un controlador Thunderbolt 5 discreto. El procesador en sí integra completamente un controlador Thunderbolt 4, que incluye un par de puertos de 40 Gbps.

El procesador se conecta al chipset Z890 a través de un bus DMI 4.0 x8 (ancho de banda comparable al PCI-Express 4.0 x8). Ofrece 24 líneas descendentes PCI-Express Gen 4. Esto es un aumento enorme con respecto al Z790, que ofrecía 16 líneas Gen 4 y 8 Gen 3. El complejo USB integrado consta de 32 deserializadores seriales USB 3.2 de 5 Gbps, que pueden ser configurados por los diseñadores de placas base en cinco puertos de 20 Gbps, diez puertos de 10 Gbps y diez puertos de 5 Gbps. También hay un concentrador USB 2.0 de 14 puertos. Intel ha retirado la interfaz de audio HDA ​​»Azalia» con Z890, lo que significa que los CODEC de audio integrados tendrán que utilizar las interfaces más nuevas MIPI SoundWire y USB 3.2 (que CODECs como el Realtek ALC4080 y ALC4082 ya hacen).

El chipset integra una MAC de 1GbE y Wi-Fi 6E. Con la conectividad PCIe y USB 3.2 en oferta, los proveedores de placas base pueden volverse locos con la conectividad de red, al darle a sus plataformas Wi-Fi 7 y 2.5 GbE, o incluso 5 GbE y 10 GbE.

Los proveedores pueden optar por el paquete de red Killer de Intel, que combina la red PHY existente de Intel con el motor de priorización avanzado Killer, y DoubleShot Pro, que funcionan juntos para reducir la latencia de la red durante los juegos.

Intel ha renovado la arquitectura de seguridad para sus procesadores cliente con «Arrow Lake», brindándoles tres motores de seguridad de hardware separados, incluido un motor de administración y seguridad convergente especialmente diseñado (básicamente el Intel ME pero con funciones de seguridad adicionales), el motor de seguridad de silicio, que es un refuerzo a nivel de microarquitectura para los nuevos núcleos de CPU Lion Cove y Skymont, un nuevo controlador de seguridad dedicado para la iGPU y cumplimiento con Microsoft Secured Core.

Especificaciones

EspecificacionesIntel Core i9 14900KIntel Core Ultra 9 285KAMD Ryzen 9 9950XAMD Ryzen 9 9900XIntel Core i7 14700KIntel Core ultra 7 265KAMD Ryzen 7 9700XIntel Core i5 14600KIntel Core Ultra 5 245KAMD Ryzen 9600X
Proceso de Fabricación10nmTSMC N3B 3nm4nm
4nm10nmTSMC N3B 3nm4nm10nmTSMC N3B 3nm4nm
Cores8+168+1616168+128+1286+86+86
Threads32243232282016201412
GPU CoreIntel UHD 770Intel UHDRadeon 2 CU (RDNA2)Radeon 2 CU (RDNA2)Intel UHD 770Intel UHDRadeon 2 CU (RDNA2)Intel UHD 770Intel UHDRadeon 2 CU (RDNA2)
Frec. Base3.2 GHz (P), 2.2 GHz (E)3.7 GHz (P), 3.2 GHz (E)4.3 GHz4.4GHz3.4 GHz (P), 2.5 GHz (E)3.9 GHz (P), 3.3 GHz (E)3.8 GHz3.5 GHz (P), 2.6 GHz (E)4.2 GHz (P), 3.6 GHz (E)3.9GHz
Frec. Máx5.9 GHz5.5 GHz (P), 4.6 GHz (E)5.7GHz5.6GHz5.6 GHz5.4 GHz (P), 4.6 GHz (E)5.5 GHz5.4 GHz5.2 GHz (P), 4.6 GHz (E)5.4GHz
Caché L232MB40MB16MB12MB28MB36MB8MB20MB26MB6MB
Caché L336MB36MB64MB64MB33MB36MB32MB24MB36MB32MB
TDP125W125W170W120W125W125W65W125W125W65W
Sist. RefrigeraciónN/AN/AN/AN/AN/AN/AN/AN/AN/AN/A
Precio USD$442$589 SEP$649 SEP$499 SEP$352$394 SEP$359 SEP$257$309 SEP$279 SEP
Precio CLP

Observemos lo que CPU-Z nos muestra de este nuevo procesador.

Primera Mirada

Este procesador Intel Core Ultra 5 245K, fue facilitado por Intel para esta revisión.

Al ser un procesador parte de un Media Kit, viene en un empaque que no es el final que tendrán todos ustedes.

De esta forma será el empaque Retail que todos ustedes verán en tiendas.

A lado derecho socket LGA 1700, al lado izquierdo socket LGA-1851.

Plataforma de Pruebas y Metodología

Para poner a prueba este procesador, dispusimos de las siguientes piezas.

Plataforma de Pruebas
Procesador- Intel Core Ultra 5 245K
Placa Madre- GIGABYTE Z890 AORUS ELITE WIFI7
Memoria- G.Skill Trident Z5 Neo RGB 6400 2X16GB
Refrigeración- EK-Nucleus AIO CR360 Lux D-RGB
Tarjeta de Video- AMD Radeon RX 7900XTX 24GB
Fuente de Poder- ASUS ROG THOR II 1000W
Almacenamiento- Corsair MP600 PRO 1TB M.2 PCIe 4.0
Monitor- ASUS MG28UQ
  • Sistema operativo Windows 11Pro x64 23H2 – con actualización KB50441587
  • Las pruebas fueron realizadas en un ambiente con temperatura de 20ºC aproximadamente.
  • La plataforma fue utilizada sin gabinete.
  • Driver de Video utilizado Tarjeta dedicada: AMD RADEON SOFTWARE Adrenalin 24.9.1 WHQL
  • Resizable BAR / AMD SAM – Activado
  • Las resoluciones de las pruebas sintéticas son las predeterminadas por cada uno de los benchmarks.

 

Pruebas 2D

Comenzando con nuestra tradicional batería de pruebas 2D de procesadores, donde se ve el potencial de aquellos en tareas de cómputo y trabajo duro.

En la gran mayoría de las pruebas 2D, este procesador Core Ultra 5 245K obtuvo un rendimiento destacado, comparado con la contraparte de AMD que serían los Ryzen 5 7600X y el nuevo Ryzen 5 9600X. Sin embargo, en algunas pruebas que requieren cierta potencia, este queda levemente corto. Por ahora, es un buen comienzo.

Pruebas 3D

Las pruebas 3D son principalmente para ver si este procesador puede entregarle rendimiento a una tarjeta de gama Media Alta, como lo es la Radeon RX 7900XTX de AMD.

 

En pruebas sintéticas se defiende bien, en juegos y en baja resolución, que es donde se aprecia el potencial del procesador, este Core Ultra 5 245K queda corto comparado a las opciones de la competencia. De toda formas, si apreciamos el rendimiento a 4K, se logra el cuello de botella de la GPU.

Pruebas 3D – iGPU.

Tenemos claro que este procesador no cuenta con una gráfica dedicada potente, pero quisimos hacer la prueba de igual manera. En este caso ante un APU de AMD.

Era algo esperable, la gráfica integrada de este Core Ultra 5 245K no es rival para la gráfica de un procesador APU, el cual incorpora una gráfica integrada mas potente. De todas formas, esta gráfica Intel Graphics, se defiende y se destaca en otras tareas, como coding/encoding.

Overclocking.

De momento para este apartado lo dejaremos en espera, la plataforma no se vio muy consistente en los resultados para esta área, por lo que lo dejaremos para una siguiente oportunidad donde profundizaremos el overclocking.

 

Consumo y Temperatura

Lo mas importante y que se promocionó bastante durante las presentaciones de estos nuevos procesadores, son su mejora en el consumo, y por consiguiente de la temperatura.

El consumo, es notoriamente menor comparado a la generación anterior, Rocket Lake-Refresh. Solamente en IDLE vemos que no es similar, pero al menos, es mejor que lo que nos entrega la competencia.

En temperatura estamos con una mejoría notable respecto de lo que veníamos teniendo por parte de Intel, y esto es algo que se debe mencionar y celebrar. Nuevamente en IDLE obtuvimos valores mayores que las generaciones anteriores, al momento de poner al límite y darle carga al procesador, este logró mantenerse en niveles mas bajos, inclusive que la competencia.

Conclusión

Intel hace una apuesta bien agresiva en esta oportunidad, ya que no solo se enfrentan a un cambio de socket, sino que también a un cambio en la nomenclatura de sus modelos, esto puede derivar a que el usuario se pierda entorno a la elección del modelo en relación a como venía familiarizarse con los modelos desde el origen de la familia Core i.

Claramente Intel da un gran salto en lo que es eficiencia energética

Claramente Intel da un gran salto en lo que es eficiencia energética, esto probablemente ayudado en gran medida en el potencial que le entregan sus Cores E y quitando el HT, sin mencionar también el cambio a nivel de proceso de fabricación.

Hay algunos puntos que nos dejaron un raro sabor de boca, y es justamente en la área que los fabricantes de procesadores buscan destacarse, y es el gaming. Los resultados que nos entregó fueron muy deficientes, lejano a lo que por especificaciones nos suelen entregar, probablemente estemos frente a un caso que hayamos experimentado en ocasiones anteriores con lanzamientos, ya sea por una BIOS inmadura, controladores o bien una falta de refinamiento en Windows. En cualquiera de los mencionados probablemente posterior a este review, tengamos algunas soluciones de parte de Intel sobre lo que nos ofrece en gaming, que la verdad así como se muestra no llama la atención para nada.

En esta generación también estamos con un integrado de video que logra escalar en rendimiento en relación a su generación previa, lo que se ve que Intel ha llevado su nivel de gráficos integrados a una opción nada despreciable, esto relacionado a que nos ofrecía hace un par de generaciones y que su competencia se lucía con creces. Hoy podemos ver un Intel más competitivo en este aspecto, con un integrado que sin dudas puede entregar un rendimiento más que aceptable en juegos esport y quizás bajando calidad grafica la posibilidad de tantear el terreno con alguno que otro juego más demandante. Además, que con sus núcleos Xe-LPG pueden ayudar en tareas de IA que cada vez se vuelven mas cotidianas y demandantes.

A nivel de precio Intel deberá enfrentarse a factores que van más allá de lo que puede ofrecer directamente con el procesador, y es que como siempre sucede con los cambios de socket, es como la nueva propuesta se posiciona a nivel de costo de plataforma, más si aún que en lanzamientos vemos placa madres o bien chipset de estas que empiezan desde sus gamas altas, esto sin duda es entregar una solución con un costo muy por sobre a la media de lo que un usuario puede costear, sobre todo en una región como la de nosotros.

Por todo lo mostrado a lo largo de esta revisión, considero que el Intel Core Ultra 5 245K es un producto a recomendar.

Agradecimientos a Intel por prestarnos el procesador Intel Core Ultra 5 245K para esta revisión.

Posts relacionados

Review Intel Core Ultra 9 285K (Arrow Lake)

Mario Rübke

Intel y AMD se unen para acelerar el desarrollo de un ecosistema x86 unificado y aumentar la competencia en el mercado

Mario Rübke

ASUS anuncia la nueva Zenbook S14 impulsada por los nuevos Intel Core Ultra 200V

Mario Rübke